+1(337)-398-8111 Live-Chat

SK Hynix to Pay ASML $4.3B Over Five Years for EUV Machines

2021-03-02

SK Hynix signed a $4.3 billion, five-year contract with ASML Holding NV to acquire more of its extreme-ultraviolet lithography (EUV) machines. The South Korean chipmaker previously announced it would utilize the cutting-edge equipment to fabricate its 1a nm DRAM products.

Earlier this month, the corporation launched a new fab called M16 to make its next-generation components.

SK Hynix Bets Big on EUV Tech

Doh Hyun-woo, an analyst with NH Investment & Securities, asserts that the manufacturer made the investment as part of a larger business strategy. The semiconductor expert notes the chipmaker can differentiate itself from its competition through advanced lithography technology.

In 2018, SK Hynix broke ground on M16, a sprawling $3.1 billion complex that stands as its largest manufacturing site. It established the 613,500 square foot facility to create its cutting-edge products, like 1a nm DRAM. It is using a fourth-generation 10nm node to fabricate the smaller, more energy-efficient, and better-performing memory modules. And it intends to release its first offerings at that scale in the second half of 2021.

SK Hynix can also use the EUV machines it is buying from ASML to make its operations more profitable.

Doh explained the chipmaker anticipates reducing its manufacturing costs by 20 percent using the Dutch company’s equipment. He also speculated it could conceivably cut its expenditures by another 3 percent if it alters its fabrication methodologies.

Business Korea estimated SK Hynix would purchase 24 EUV machines from ASML based on the deal's size. In the next five years, the arrangement should enable it to increase its output while mitigating its capital expenditures. Given the global DRAM market's volatility and competitiveness, those improvements will be critical to its long-term success.

EUV is Crucial to the DRAM Market

Currently, Samsung Electronics, SK Hynix, and Micron Technology dominate the global DRAM market. Each provider is working on expanding its market share by introducing products that are increasingly technologically sophisticated. Those three companies integrated EUV technology into their operations to get an edge on the competition.

Samsung, the current market leader, is spending $116 billion through 2029 to upgrade its foundries with EUV machines. The conglomerate is using state-of-the-art equipment to become a larger and more diverse semiconductor provider. However, it has made optimizing its core memory chip business a priority. Last August, it became the first-ever vendor to mass-produce 16GB LPDDR5 mobile device components with the innovative etching process.

Micron, the DRAM segment’s third-biggest firm, is also aggressively working on expanding its EUV resources. It recently launched 1a DRAM products, which have a 40 percent generational improvement in memory density.

Despite its past successes, Micron knows EUV’s advanced miniaturization capabilities make it the best way forward.

By making a long-term deal with ASML, SK Hynix has reinforced its position as the world’s second-largest DRAM vendor. It has also laid the groundwork to grow its business. Its recent maneuver's success or failure will not be clear immediately, but its long-term planning speaks well of its leadership.

Top